《科技》新思設計平台 獲台積電3奈米認證

【時報記者王逸芯台北報導】新思科技宣佈,其數位與客製化設計平台已獲得台積電(2330)3奈米製程的認證。該認證通過嚴格的驗證,是以台積電最新的設計規則手冊和製程設計套件為基礎,而取得這項認證也可說是雙方多年合作的成果。此外,該平台也已取得台積電N4製程的認證。

台積電設計建構管理處副總經理Suk Lee表示,很高興看到與新思科技多年來的合作成果,也樂見他們的設計平台取得台積電最先進製程的認證,帶來最佳的PPA。藉由雙方的策略合作,雙方能讓客戶實現新一代HPC、行動、5G和AI設計,並快速將創新的產品推向市場。

數位設計流程是以緊密整合的「新思科技融合設計平台」為基礎,採用最新技術以確保更快速的時序收斂(timing closure),以及從合成到佈局繞線再到時序及物理簽核的完整流程之間的關聯性。該平台經強化後的合成與全域擺置器(global placer)引擎,可達到程式庫單元(library cell)選擇和佈局結果的最佳化。為了支援台積電的超低電壓設計收斂,新思科技優化引擎已改為使用新的footprint優化演算法。這些基於雙方策略夥伴關係的新技術,對於利用台積公司N3製程的設計來說,有助其PPA的提升。

Custom Compiler設計和佈局解決方案是「新思科技客製化設計平台」的一環,能為使用台積電先進製技術的設計人員帶來更高的生產力。多項Custom Compiler的強化功能已獲得包括新思科技DesignWare IP團隊在內的3奈米先期用戶的認證,能降低3奈米技術要求所需的心力。新思科技PrimeSimHSPICE、PrimeSim SPICE、PrimeSim Pro和PrimeSim XA 模擬器是PrimeSim連續解決方案的一部分,能改善台積電3奈米晶片設計的周轉時間(turnaround time),並為電路模擬和可靠性要求提供簽核範疇。

新思科技數位設計事業群總經理Shankar Krishnamoorthy表示,與台積電持續合作關係為其先進的3奈米製程帶來了高度差異化的解決方案,讓客戶在設計複雜的SoC時更具備成功的信心。在整體流程中因為有了能實現3奈米製程的多項技術創新,設計人員得以充分利用PPA的精進,進行新一代HPC、行動、5G和AI設計。