延續摩爾定律 英特爾展現晶片背部供電成果、PowerVia將於明年量產

為延續摩爾定律,英特爾在IEEE國際電子元件會議(IEDM)上公開多項技術突破,像是結合晶片背部供電和直接背部接觸的3D堆疊互補金屬氧化物半導體(CMOS)電晶體的最新進展,同時分享了最新晶片背部供電(例如背部接觸)的研發突破,並率先市場在同一片12吋晶圓、而非在封裝上成功展示整合矽電晶體與氮化鎵(GaN)電晶體的大規模3D單晶。

英特爾展示下世代電晶體微縮技術突破。(圖/英特爾提供)
英特爾展示下世代電晶體微縮技術突破。(圖/英特爾提供)

全球對於運算的需求呈現指數型增長,電晶體微縮和晶片背部供電是有助於滿足此運算需求的兩大關鍵。對此,英特爾資深副總裁暨元件研究部總經理Sanjay Natarajan表示,我們正進入製程技術的埃米世代(Angstrom era),展望四年五節點的計畫,持續創新比以往更加重要。

而英特爾最近發表的製程技術藍圖,即強調了其在持續微縮方面的創新,包括PowerVia晶片背部供電技術、用於先進封裝的玻璃基板和Foveros Direct封裝技術,這些技術皆源於英特爾元件研究團隊,預計將在2030年前投入生產。

與此同時,在IEDM 2023大會上,英特爾也公布最新電晶體研究成果,表示已可在小至60奈米(nm)的微縮閘極間距,垂直堆疊互補場效電晶體(Complementary Field Effect Transistors, CFET)。堆疊電晶體可以縮減元件占用面積,達到效能最佳化,同時結合背部供電和直接背部接觸技術,也凸顯英特爾在環繞式閘極場效電晶體(Gate-All-Around FET)領域的技術優勢。

廣告

英特爾透露,其PowerVia將於2024年量產,是晶片背部供電的首波成果之一。而在IEDM 2023大會上,元件研究團隊也確立了在PowerVia之外延伸並擴展晶片背部電力傳輸的路徑,以及實現這些目標所需的關鍵製程進展。這項計畫更強調背部接觸和其他創新垂直互連的使用,以實現節省面積的裝置堆疊。

不僅如此,今年英特爾在矽和GaN的製程整合方面也有所進展,在同一片12吋晶圓上成功整合矽電晶體和GaN電晶體,並證明其性能良好。英特爾指出,此一用於電力傳輸的高效能、大規模積體電路解決方案,稱之為DrGaN,有可能使電力傳輸解決方案能與時俱進、符合未來運算的功率密度和效率需求。

  • Yahoo財經特派記者 侯冠州:自進入新聞產業後便於科技領域鑽研,採訪足跡遍及台積電、鴻海、NVIDIA、Arm等外商與台企,期許能在瞬息萬變的科技產業中提供讀者真實、專業的新聞內容。